CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - flash vhdl

搜索资源列表

  1. wp_max_flash

    0下载:
  2. FPGA中FLASH配置控制源码,VHDL和Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:38165
    • 提供者:wanggui
  1. solution1324

    1下载:
  2. SX-CPLD/FPGA 数字逻辑电路设计实验仪 SX-CPLD/FPGA 数字逻辑电路设计实验仪 产品介绍 1.利用CPLD/FPGA 提供的软硬件开发环境学习最新逻辑IC 设计,以取代TTL/CMOS 复杂的硬件设计。 2.可使用电路绘图法、ABEL 语言、波形图和数字硬件描述语言法(VHDL/AHDL)来开发电路。 3.CPLD/ FPGA 提供引脚可任意设定,故作测试实验时不需要做硬件连接,可节省大量连线焊接时间,快速学习软硬
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:171215
    • 提供者:vobno
  1. cf_vhdl

    0下载:
  2. CF VHDL The CF+ design was designed using the timing diagrams of the Compact Flash specification rev. 1.4, Analog Devices ADSP-218xN DSP Microcomputer specification, and the Intel StrataFlash Memory 28F320J3 specification.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:700616
    • 提供者:gbh
  1. norflash

    0下载:
  2. norflash 控制器 可以作为了解norflash工作原理以及时序 或者编写代码参考所用-norflash controller works as well as understand the norflash sequence or code used for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2057
    • 提供者:EliCao
  1. FlashbianchengyongFPGAshixian

    0下载:
  2. 用 FPGA 可编程器件和 VHDL 硬件描述语言来实现 Flash 编程器-FPGA programmable devices and the use of VHDL hardware descr iption language to realize Flash Programmer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:56747
    • 提供者:谢名伟
  1. altera_up_flash_memory

    1下载:
  2. Altera公司大学计划中公布的基于VHDL的通用flash的IP核!-Altera' s University Program announced in the flash-based VHDL generic IP core!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:188841
    • 提供者:兔子
  1. Nios_II_SPI

    0下载:
  2. 本源码为Nios II的开发示例,主要演示Nios II的SPI总线设计。开发环境QuartusII。 本示例十分经典,对基于SOPC开发的FPGA初学者有很大帮助。-The source code for the Nios II development of an example, the main demonstration Nios II design of the SPI bus. Development environment QuartusII. This example is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16035850
    • 提供者:huangshengqun
  1. 29782187DE2_NIOS_Lite_12_flash

    0下载:
  2. 能够使VHDL语言下载到FLASH中,从而显示在LCD上-VHDL
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-05-02
    • 文件大小:583035
    • 提供者:吴发飞
  1. clock

    0下载:
  2. vhdl实现的电子钟程序,包括闪烁,修改,复位等功能-vhdl clock implementation procedures, including flash, modify, reset functions
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:410443
    • 提供者:叶雨
  1. LEDtest

    0下载:
  2. vhdl 实现fpga 闪灯控制 流水线闪灯 还用signalTAP进行检测,给初学者参考-vhdl fpga flash control lines to achieve flash is also used signalTAP testing, to advanced users
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:805490
    • 提供者:adam
  1. flashcontrol

    0下载:
  2. 用VHDL编写的FlashM控制器,能实现Flash的读写控制及片选。-FlashM prepared using VHDL controller can achieve read and write Flash, control and chip select.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:870604
    • 提供者:曾强
  1. 200998101710660

    0下载:
  2. NAND FLASH控制器的试验 使用VHDL语言来试验的- nand flash controler test by using VHDL make it effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:57657
    • 提供者:星星
  1. an492_design_example

    0下载:
  2. it s a VHDL descr iption of FLASH memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:354558
    • 提供者:M4RKO
  1. FlashTime

    0下载:
  2. 用VHDL编写。称为校时闪烁电路。一般的电子表在校时时都会使被校正的时间不停地闪烁。此模块实现了类似的功能。与我的其它8个模块配套构成一个数字钟。 -Programmed with VHDL. It is called a flashing circuit(when time is being revised).Generally, a digital watch will flash the currently revised time(for example,hour) to let t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:143612
    • 提供者:chzhsen
  1. Traffic-light-design

    0下载:
  2. (1) 能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; • 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯,能实现正常的倒计时功能; • 用两组数码管作为东西和南北方向的到计时显示,显示时间为红灯55秒、绿灯50秒、黄灯5秒; *(2) 按S1键后,能实现特殊状态功能: • 显示到计时的两组数码管闪烁; • 计数器停止计数并保持在原来的状态; • 东西、南北、路口均显示红灯状态; • 特殊状态解
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:10245
    • 提供者:薛静
  1. Reading-User-Data-from-Proms

    0下载:
  2. FPGA的配置中,从Flash中读写用户数据,包括VHDL、Verilog程序-in configuring FPGA,reading and writing user data from flash,including the VHDL and Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1417966
    • 提供者:赵齐
  1. Using-JTAG-PROMs-for-data-storage

    0下载:
  2. Xilinx FPGA的配置中,从Flash中读写用户数据,包括VHDL、Verilog程序-in configuring Xilinx FPGA,reading and writing user data from flash,including the VHDL and Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:159078
    • 提供者:赵齐
  1. 74serie-code

    0下载:
  2. 74系列的源代码 里面还包含了testbench和详细的代码说明-Prepared by flash controller vhdL source code. Contains testbench. Programming Language:VHDL, Tags:VHDL-FPGA-Verilog,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2755723
    • 提供者:赵亮兵
  1. nand_flash_ctl

    0下载:
  2. NAND flash的VHDL控制代码,可以看一下-VHDL control code of NAND flash
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4920
    • 提供者:沈碧云
  1. FLASH_read

    1下载:
  2. 对spi接口的flash操作,用VHDL语言实现,read控制,串行输入,可以1位、2位、4位读出-Spi interface on the flash operation, with the VHDL language, read control, serial input, to one, two, four read
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1917
    • 提供者:王伯祥
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com